آموزش FPGA, کارگاه آموزشی

آموزش جامع و کامل نرم افزار ISE برای کار با FPGA و CPLD های شرکت Xilinx (بخش چهارم)

فهرست مطالب

نحوه دیباگ کردن برنامه با استفاده از Chipscope

 از منوی Project گزینه Add Source را انتخاب کنید. در پنجره باز شده (شکل 28) نوع منبع را ChipScope Definition and Connection File انتخاب کرده و نام و مسیر ذخیره آن را تعیین کنید. سپس بر روی دکمه Next و بعد Finish کلیک کنید. در این مرحله باید Chipscope به پروژه اضافه شده باشد (شکل 29).

شکل 28- نحوه اضافه نمودن Chip scope بخش اول
شکل 28- نحوه اضافه نمودن Chip scope بخش اول
شکل 29- نحوه اضافه نمودن Chip scope بخش دوم
شکل 29- نحوه اضافه نمودن Chip scope بخش دوم

انتخاب سیگنال‌های موردنظر جهت دیباگ

بر روی آیکون Chipscope دو بار کلیک کنید. در صورتی­که خطایی در برنامه وجود نداشته باشد و با موفقیت سنتز شود، پنجره ChipScope Pro Core Inserter  (شکل 30) باز می­شود. در این پنجره روی دکمه Next کلیک کنید.

شکل 30- چگونگی انجام تنظیمات Chipscope بخش اول
شکل 30- چگونگی انجام تنظیمات Chipscope بخش اول

در پنجره بعدی (شکل 31) مجدداً روی دکمه Next کلیک کنید.

شکل 31- چگونگی انجام تنظیمات Chipscope بخش دوم
شکل 31- چگونگی انجام تنظیمات Chipscope بخش دوم

در سربرگ Trigger Parameters (شکل 32) ویژگی­ها و شرایط تریگ تنظیم می­شود. در اینجا تعداد و عرض هر کدام از سیگنال­های تریگر را تنظیم کنید. در اینجا پروژه شرایط تریگر بصورت یک سیگنال­های تریگر دو بیتی تنظیم شده است. بعد از انجام تنظیمات موردنظر بر روی دکمه Next کلیک کنید.

شکل 32- چگونگی انجام تنظیمات Chipscope بخش سوم
شکل 32- چگونگی انجام تنظیمات Chipscope بخش سوم

در سربرگ Capture Parameters (شکل 33) تعداد و عمق سیگنال‌های موردنیاز برای دیباگ تنظیم می­شود. در صورتی که می‌خواهید سیگنال تریگر با سیگنال داده یکسان باشد، گزینه Data Same As Trigger را فعال کنید. در غیر اینصورت این گزینه را غیرفعال کنید و در فیلد Data Width تعداد سیگنال­های موردنیاز و در فیلد Data Depth عمق آن­ها را تعیین کنید و سپس بر روی دکمه Next کلیک کنید.

شکل 33- چگونگی انجام تنظیمات Chipscope بخش چهارم
شکل 33- چگونگی انجام تنظیمات Chipscope بخش چهارم

در سربرگ Net Connections (شکل 34) سیگنال­های کلاک، تریگر و داده انتخاب می­شوند. بر روی دکمه Modify Connection کلیک کنید تا پنجره Select Net باز شود.

شکل 34- چگونگی انجام تنظیمات ChipScope بخش پنجم
شکل 34- چگونگی انجام تنظیمات ChipScope بخش پنجم

برای اتصال سیگنال­های مدار به پایه­های ChipScope ابتدا از منوی Net Selection پایه ChipScope مورد نظر را انتخاب کنید. سپس در منوی سمت راست پایین، از بین سیگنال­های مدار، سیگنال مطلوب را انتخاب نمایید و بر روی دکمه Make Connection کلیک کنید.

شکل 35- چگونگی انجام تنظیمات Chipscope بخش ششم
شکل 35- چگونگی انجام تنظیمات Chipscope بخش ششم

بعد از تعیین کلیه سیگنال‌ها بر روی دکمه OK تا پنجره Select Net بسته شود. سپس Return To Project Navigator کلیک کنید و تغییرات را ذخیره کنید. پروژه را مجدداً Implement کنید و در صورتی که مشکلی روی نداد، Bit Stream را تولید کرده و FPGA را پروگرم کنید. با اضافه کردن ChipScope نرم‌افزار warning های (شکل 36) را چاپ می­کند.

شکل 36- پیغام های Warning بعد از اضافه کردن ChipScope
شکل 36- پیغام های Warning بعد از اضافه کردن ChipScope

بعد از پروگرم کردن FPGA روی گزینه Analyze Design Using ChipScope  (شکل 37) کلیک کنید.

شکل 37- نحوه دیباگ باز Chip scope بخش اول
شکل 37- نحوه دیباگ باز Chip scope بخش اول

پس از باز شدن نرم‌افزار ChipScope Pro Analyzer روی آیکون  کلیک کنید تا نرم‌افزار به ChipScope متصل شود. در پنجره باز شده (شکل 38)، دیوایس موردنظر را انتخاب کرده و سپس روی دکمه OK کلیک کنید.

شکل 38- نحوه دیباگ باز Chip scope بخش دوم
شکل 38- نحوه دیباگ باز Chip scope بخش دوم

در پنجره ChipScope Pro Analayer (شکل 39) در قسمت Trigger Setup شرایط تریگ تعیین می‌شود. برای اینکار ابتدا نوع Function و مدار Value را مشخص کنید. بعنوان مثال در صورتی که میخواهید با ”1” شدن سیگنال، تریگ شود باید نوع Function را ”== ” و Value را ”1” قرار دهید. در این نرم‌افزار می‌توان موقعیت شرایط تریگ را مشخص کرد. برای اینکار باید فیلد Position را تنظیم کرد. بعنوان مثال اگر بخواهیم سیگنال های داده را 500 نمونه قبل از تریگ شدن ببینیم باید مقدار فیللد Position را روی 500 تنظیم کرد.

در قسمت Waveform سیگنال‌های داده نشان داده می‌شود. با کلیک بر روی نوار ابزار  Chipscope شروع به کار می‌کند و به محض ایجاد شرایط تریگر، سیگنال‌های داده را نمایش می‌دهد. برای متوقف کردن ChipScope  می‌توان بر روی گزینه  stop کلیک کرد. گزینه T سیگنال‌های داده را بدون ایجاد شرایط تریگر نمایش می‌دهد.

شکل 39- نحوه دیباگ باز Chip scope بخش سوم
شکل 39- نحوه دیباگ باز Chip scope بخش سوم

نظرتان را درباره این مقاله بگویید 1 نظر

آموزش جامع و کامل نرم افزار ISE برای کار با FPGA و CPLD های شرکت Xilinx (بخش چهارم)

دیدگاهتان را بنویسید

نشانی ایمیل شما منتشر نخواهد شد. بخش‌های موردنیاز علامت‌گذاری شده‌اند *